当前位置:主页 > 电子通信论文 >

基于FPGA精度频率计设计

更新时间:2019-01-14
阅享价格100元 资料包括:原始论文 点击这里给我发消息QQ在线咨询
文档格式:doc/docx 全文字数:7300 温馨提示
以下仅列出文章摘要、提纲简介,如需获取全文阅读权限,或原创定制、长期合作,请随时联系。
微信QQ:312050216 点击这里给我发消息
扫一扫 扫一扫
基于FPGA精度频率计设计

摘 要

数字频率计是一个常用的工具,在数字电路中典型的应用,在实际使用的很多设备里面也是有的,这个连接很复杂,有很大的延时性,这样,测试的结果就不是很准确了,随着市场上出现的FPGA这些的应用,EDA工具作为开发的利器,通过Verilog语言进行开发,系统的稳定可靠性得到很大的提高,在QuartusII上仿真编码测试,在下载到FPGA上面,对各种常见的比如方波,正弦波等进行测试,它还可以测量其他的物理量。通过搭建环境,设计频率计模块,然后下载到核心板测试,最后完成频率计的设计,系统经过测试完成基本的功能。
关键词:硬件描述语言;现场可编程门阵列;频率计;频率测量

目  录

摘 要 I
Abstract II
第1章 绪论 1
第1节 毕业设计的背景 1
第2节 毕业设计完成的内容 1
第3节 毕业设计的创新点 1
第2章 毕业设计过程 2
第1节 数字频率计的设计方案 2
第2节 毕业设计整体方案 3
第3节 数字频率计的设计 4
第3章 毕业设计功能与测试结果 8
第1节 毕业设计实现功能 8
第2节 毕业设计功能测试结果 8
结论 9
参考文献 10
致  谢 11
附录 12